Filters
Create alert
Sort by
  • Relevance
  • Date

Xilinx Jobs

1 - 9 of 9
1 - 9 of 9
Search Results - Xilinx Jobs
Xiphos-Montréal
Specifically, you will execute the following functions:  •  Generate logic projects to support our embedded Q-card platforms:   1.  Xilinx Vivado: Zynq, UltraScale+ and Versal   2.  Microsemi Libero: ProASIC3 and PolarFire   3.  Write physical and timing constraints...
Xiphos-Montréal
Specifically, you will execute the following functions: ~ Generate logic projects to support our embedded Q-card platforms: # Xilinx Vivado: Zynq, UltraScale+ and Versal # Microsemi Libero: ProASIC3 and PolarFire # Write physical and timing constraints...
Orthogone Technologies Inc.-Quebec City
outils de développement et de simulation FPGA (par exemple, Xilinx Vivado, Altera Quartus, ModelSim, QuestaSim). Bonne capacité d’adaptation aux différentes méthodes et environnements; Capacité à communiquer ses idées et à proposer des solutions...
Xiphos-Montréal
Specifically, you will execute the following functions: ~ Generate logic projects to support our embedded Q-card platforms: # Xilinx Vivado: Zynq, UltraScale+ and Versal # Microsemi Libero: ProASIC3 and PolarFire # Write physical and timing constraints...
Aversan Inc-Peterborough
systems: svn, cvs, git.  •  Experience with Xilinx FPGA tools (Vivado, Vitis, HLS), embedded software development, FPGA logic design (VHDL/Verilog).  •  Familiarity with Ethernet networking, data interfaces, and Xilinx architecture/platforms. #J-18808...
appcast.io -
Xiphos-Montréal
Specifically, you will execute the following functions: ~ Generate logic projects to support our embedded Q-card platforms: # Xilinx Vivado: Zynq, UltraScale+ and Versal # Microsemi Libero: ProASIC3 and PolarFire # Write physical and timing constraints...
Aversan Inc-Hamilton
of FPGA technology. Creating FPGA designs using the VHDL language Simulating your designs using Questa Sim Utilizing Xilinx design tools for synthesis, implementation, debugging and timing closure Assisting the Verification Team as required...
Xiphos-Montréal
Specifically, you will execute the following functions: ~ Generate logic projects to support our embedded Q-card platforms: # Xilinx Vivado: Zynq, UltraScale+ and Versal # Microsemi Libero: ProASIC3 and PolarFire # Write physical and timing constraints...
Lumentum-Ottawa
3+ years of experience in FPGA design and verification using VHDL/Verilog/SystemVerilog.  •  Proven experience with high-speed digital design, signal integrity, and hardware debugging.  •  Experience with tools such as Xcelium, Xilinx Vivado, or Altera...

View Xilinx jobs in:

Don’t miss out on new job vacancies!
Create a job alert for: Xilinx
It's free, and you can cancel email updates at any time
Get new jobs by email!
Get email updates for the latest Xilinx jobs
It's free, and you can cancel email updates at any time